Bootstrap

SpinalHDL之spinal.core 组件(下篇)

本文作为SpinalHDL学习笔记第五十一篇,介绍SpinalHDL的spinal.core 组件相关内容。

目录:

6.函数

7.VHDL 生成

8.实例化 VHDL 和 Verilog IP

9.实用工具

10.Element

11.范围

6.函数

使用 Scala 函数生成硬件的方式与 VHDL/Verilog 完全不同,原因有很多:
• 可以在其中实例化寄存器、组合逻辑和组件。
• 不必使用限制信号分配范围的 process/@always
• 一切都按参考工作,这允许许多操作。

例如,可以为函数提供总线作为参数,然后可以在该函数内部读取/写入它。还可以返回一个组件、总线以及 scala 世界中的任何其他内容。<

;